当前位置: X-MOL 学术J. Micro Nanopatter. Mater. Metrol. › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
Overlay error investigation for metal containing resist
Journal of Micro/Nanopatterning, Materials, and Metrology ( IF 2 ) Pub Date : 2019-10-10 , DOI: 10.1117/1.jmm.18.4.044001
Roel Gronheid 1 , Satomi Higashibata 2 , Onur Demirer 1 , Yusuke Tanaka 3 , Dieter Van Den Heuvel 4 , Ming Mao 4 , Masaru Suzuki 5 , Satoshi Nagai 5 , Waikin Li 4 , Philippe Leray 4
Affiliation  

Abstract. Metal containing resists (MCR) are one of the candidates for extreme ultraviolet resists aiming to achieve the resolution, linewidth roughness, and sensitivity requirements of advanced design nodes. MCRs intrinsically have high etch resistance owing to their metal content. Therefore, low resist thickness (∼18  nm) is sufficient to transfer resist patterns into an underlying hard mask. Also, the thin resist reduces susceptibility to pattern collapse during development because of low aspect ratio. However, thus far, little attention has been paid to optical metrology and inspectability (overlay, defect inspection, scatterometry, etc.) of these resists, which is another critical requirement to move MCR toward high-volume manufacturing. We investigate the overlay metrology and overlay correction with MCR. Even though the optical contrast for MCR is slightly lower than for chemically amplified resist (CAR), it seemed sufficient for high-quality overlay metrology. However, the measurement precision for MCR is deteriorated compared to that for CAR, resulting in significantly higher residuals. The root cause of the deteriorated measurement precision was found in grains in the optical image after MCR development. Interestingly, the after etch performance of CAR and MCR is identical. We demonstrate that with sufficient sampling, appropriate correctables can be extracted from the MCR overlay results. Finally, we discuss how the increased image noise can be compensated by the applied sampling scheme.

中文翻译:

含金属抗蚀剂的叠层误差调查

摘要。含金属抗蚀剂 (MCR) 是极紫外抗蚀剂的候选材料之一,旨在实现先进设计节点的分辨率、线宽粗糙度和灵敏度要求。由于金属含量,MCR 本质上具有高抗蚀刻性。因此,低抗蚀剂厚度(~18 nm)足以将抗蚀剂图案转移到下面的硬掩模中。此外,由于低纵横比,薄抗蚀剂降低了显影过程中对图案崩塌的敏感性。然而,到目前为止,很少有人关注这些抗蚀剂的光学计量和可检测性(覆盖、缺陷检测、散射测量等),这是将 MCR 推向大批量制造的另一个关键要求。我们使用 MCR 研究叠加计量和叠加校正。尽管 MCR 的光学对比度略低于化学放大抗蚀剂 (CAR),但它似乎足以用于高质量的覆盖计量。然而,与 CAR 相比,MCR 的测量精度有所下降,导致残差显着增加。在MCR显影后的光学图像中的颗粒中发现了测量精度下降的根本原因。有趣的是,CAR 和 MCR 的后蚀刻性能是相同的。我们证明,通过足够的采样,可以从 MCR 叠加结果中提取适当的可校正值。最后,我们讨论了如何通过应用的采样方案来补偿增加的图像噪声。与 CAR 相比,MCR 的测量精度有所下降,导致残差显着增加。在MCR显影后的光学图像中的颗粒中发现了测量精度下降的根本原因。有趣的是,CAR 和 MCR 的后蚀刻性能是相同的。我们证明,通过足够的采样,可以从 MCR 叠加结果中提取适当的可校正值。最后,我们讨论了如何通过应用的采样方案来补偿增加的图像噪声。与 CAR 相比,MCR 的测量精度有所下降,导致残差显着增加。在MCR显影后的光学图像中的颗粒中发现了测量精度下降的根本原因。有趣的是,CAR 和 MCR 的后蚀刻性能是相同的。我们证明,通过足够的采样,可以从 MCR 叠加结果中提取适当的可校正值。最后,我们讨论了如何通过应用的采样方案来补偿增加的图像噪声。我们证明,通过足够的采样,可以从 MCR 叠加结果中提取适当的可校正值。最后,我们讨论了如何通过应用的采样方案来补偿增加的图像噪声。我们证明,通过足够的采样,可以从 MCR 叠加结果中提取适当的可校正值。最后,我们讨论了如何通过应用的采样方案来补偿增加的图像噪声。
更新日期:2019-10-10
down
wechat
bug