当前位置: X-MOL 学术ACM Trans. Archit. Code Optim. › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
Coherence Attacks and Countermeasures in Interposer-based Chiplet Systems
ACM Transactions on Architecture and Code Optimization ( IF 1.6 ) Pub Date : 2024-02-15 , DOI: 10.1145/3633461
Gino A. Chacon 1 , Charles Williams 1 , Johann Knechtel 2 , Ozgur Sinanoglu 2 , Paul V. Gratz 1 , Vassos Soteriou 3
Affiliation  

Industry is moving towards large-scale hardware systems that bundle processor cores, memories, accelerators, and so on. via 2.5D integration. These components are fabricated separately as chiplets and then integrated using an interposer as an interconnect carrier. This new design style is beneficial in terms of yield and economies of scale, as chiplets may come from various vendors and are relatively easy to integrate into one larger sophisticated system. However, the benefits of this approach come at the cost of new security challenges, especially when integrating chiplets that come from untrusted or not fully trusted, third- party vendors.

In this work, we explore these challenges for modern interposer-based systems of cache-coherent, multi-core chiplets. First, we present basic coherence-oriented hardware Trojan attacks that pose a significant threat to chiplet-based designs and demonstrate how these basic attacks can be orchestrated to pose a significant threat to interposer-based systems. Second, we propose a novel scheme using an active interposer as a generic, secure-by-construction platform that forms a physical root of trust for modern 2.5D systems. The implementation of our scheme is confined to the interposer, resulting in little cost and leaving the chiplets and coherence system untouched. We show that our scheme prevents a range of coherence attacks with low overheads on system performance, ∼4%. Further, we demonstrate that our scheme scales efficiently as system size and memory capacities increase, resulting in reduced performance overheads.



中文翻译:

基于中介层的 Chiplet 系统中的一致性攻击和对策

行业正在朝着捆绑处理器核心、存储器、加速器等的大规模硬件系统发展。通过 2.5D 集成。这些组件作为小芯片单独制造,然后使用中介层作为互连载体进行集成。这种新的设计风格在产量和规模经济方面是有益的,因为小芯片可能来自不同的供应商,并且相对容易集成到一个更大的复杂系统中。然而,这种方法的好处是以新的安全挑战为代价的,特别是在集成来自不可信或不完全可信的第三方供应商的小芯片时。

在这项工作中,我们探讨了现代基于中介层的缓存一致性、多核小芯片系统面临的这些挑战。首先,我们提出了基本的面向一致性的硬件特洛伊木马攻击,这些攻击对基于小芯片的设计构成了重大威胁,并演示了如何精心策划这些基本攻击以对基于中介层的系统构成重大威胁。其次,我们提出了一种新颖的方案,使用有源中介层作为通用的、构建安全的平台,形成现代 2.5D 系统的物理信任根。我们的方案的实施仅限于中介层,成本很低,并且小芯片和一致性系统不受影响。我们表明,我们的方案可以防止一系列一致性攻击,并且系统性能开销较低(约 4%)。此外,我们证明我们的方案可以随着系统大小和内存容量的增加而有效地扩展,从而降低性能开销。

更新日期:2024-02-16
down
wechat
bug