当前位置: X-MOL 学术arXiv.cs.AR › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
Empowering Malware Detection Efficiency within Processing-in-Memory Architecture
arXiv - CS - Hardware Architecture Pub Date : 2024-04-12 , DOI: arxiv-2404.08818
Sreenitha Kasarapu, Sathwika Bavikadi, Sai Manoj Pudukotai Dinakarrao

The widespread integration of embedded systems across various industries has facilitated seamless connectivity among devices and bolstered computational capabilities. Despite their extensive applications, embedded systems encounter significant security threats, with one of the most critical vulnerabilities being malicious software, commonly known as malware. In recent times, malware detection techniques leveraging Machine Learning have gained popularity. Deep Neural Networks (DNNs) and Convolutional Neural Networks (CNNs) have proven particularly efficient in image processing tasks. However, one major drawback of neural network architectures is their substantial computational resource requirements. Continuous training of malware detection models with updated malware and benign samples demands immense computational resources, presenting a challenge for real-world applications. In response to these concerns, we propose a Processing-in-Memory (PIM)-based architecture to mitigate memory access latency, thereby reducing the resources consumed during model updates. To further enhance throughput and minimize energy consumption, we incorporate precision scaling techniques tailored for CNN models. Our proposed PIM architecture exhibits a 1.09x higher throughput compared to existing Lookup Table (LUT)-based PIM architectures. Additionally, precision scaling combined with PIM enhances energy efficiency by 1.5x compared to full-precision operations, without sacrificing performance. This innovative approach offers a promising solution to the resource-intensive nature of malware detection model updates, paving the way for more efficient and sustainable cybersecurity practices.

中文翻译:

提高内存处理架构中的恶意软件检测效率

嵌入式系统在各个行业的广泛集成促进了设备之间的无缝连接并增强了计算能力。尽管应用广泛,嵌入式系统仍面临重大的安全威胁,其中最严重的漏洞之一是恶意软件,通常称为恶意软件。近年来,利用机器学习的恶意软件检测技术越来越受欢迎。事实证明,深度神经网络 (DNN) 和卷积神经网络 (CNN) 在图像处理任务中特别有效。然而,神经网络架构的一个主要缺点是其大量的计算资源需求。使用更新的恶意软件和良性样本持续训练恶意软件检测模型需要大量的计算资源,这对现实世界的应用程序提出了挑战。针对这些问题,我们提出了一种基于内存处理(PIM)的架构来减少内存访问延迟,从而减少模型更新期间消耗的资源。为了进一步提高吞吐量并最大限度地减少能耗,我们采用了为 CNN 模型量身定制的精确缩放技术。与现有的基于查找表 (LUT) 的 PIM 架构相比,我们提出的 PIM 架构的吞吐量提高了 1.09 倍。此外,与全精度运算相比,精密缩放与 PIM 相结合可将能源效率提高 1.5 倍,而不会牺牲性能。这种创新方法为恶意软件检测模型更新的资源密集型特性提供了一种有前途的解决方案,为更高效和可持续的网络安全实践铺平了道路。
更新日期:2024-04-16
down
wechat
bug