样式: 排序: IF: - GO 导出 标记为已读
-
Optical and electronic spin properties of fluorescent micro- and nanodiamonds upon prolonged ultrahigh-temperature annealing. J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-06-27 Nicholas Nunn,Sergey Milikisiyants,Marco D Torelli,Richard Monge,Tom Delord,Alexander I Shames,Carlos A Meriles,Ashok Ajoy,Alex I Smirnov,Olga A Shenderova
High-temperature annealing is a promising but still mainly unexplored method for enhancing spin properties of negatively charged nitrogen-vacancy (NV) centers in diamond particles. After high-energy irradiation, the formation of NV centers in diamond particles is typically accomplished via annealing at temperatures in the range of 800-900 °C for 1-2 h to promote vacancy diffusion. Here, we investigate
-
Thickness dependent field emission study of LaB6coated Si nanowire arrays J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-23 Amol Deore, Krishna Jagtap, Onkar Bhorade, Angela Vella, Mahendra More
A simple physical metal mask is efficiently employed to obtain an array of silicon (Si) nanowires (Si-NWs) on a Si substrate grown using the hot filament chemical vapor deposition route. Well adhered and uniform coating of different thicknesses (20 and 50 nm) of lanthanum hexaboride (LaB6) on Si-NWs was obtained using electron beam evaporation technique. The thickness of LaB6 coating was estimated
-
Understanding plasma enhanced chemical vapor deposition mechanisms in tetraethoxysilane-based plasma J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-16 Hu Li, Koichi Ishii, Shun Sasaki, Mao Kamiyama, Akinori Oda, Kazuki Denpoh
The mechanisms of plasma-enhanced chemical vapor deposition using tetraethoxysilane (TEOS)-based plasma were investigated by monitoring the plasma via experimental and computational approaches using a quadrupole mass spectrometer/residual gas analyzer and coupled plasma-gas flow simulation. For experimental measurements, plasma was generated from a TEOS/inert gas mixture, that is, Ar/TEOS or He/TEOS
-
Gap distance dependence on field emission at the nanogap between silicon cleavage surfaces J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-16 Yuki Akura, Masaki Shimofuri, Amit Banerjee, Jun Hirotani, Toshiyuki Tsuchiya
In this study, we developed a model of field emission at a vacuum nanogap composed of semiconductor electrodes and conducted numerical calculations to evaluate its field emission characteristics. The current at the silicon nanogap was also measured, and the results were compared with the calculated results. Large-area vacuum nanogaps are expected to be used for highly efficient room-temperature thermionic
-
Thermal sensing capability and current–voltage–temperature characteristics in Pt/n-GaP/Al/Ti Schottky diodes J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-15 Hasan Efeoǧlu, Abdulmecit Turut
We have discussed the thermal sensing capability under a constant current level and current versus voltage (I–V) traces by measuring the temperature of high series resistance Pt/n-GaP/Al/Ti Schottky structures in the 100−320 K range. The Rs values of 35 Ω and 4.50 × 103 kΩ for the device have been determined from I–V traces at 320 and 100 K, respectively. The thermal sensing (V–T) curves are expected
-
Restoration of the original depth distribution from experimental SIMS profile using the depth resolution function in framework of RMR model J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-14 Yu. Kudriavtsev, R. Asomoza, K. D. Moiseev
In this paper, the problem of depth profiling analysis of nanoscale heterostructures containing doped delta layers and quantum wells using the SIMS method is considered. Based on computer simulation data and previously obtained experimental data, we demonstrated that the RMR model most accurately and completely describes the redistribution of the analyzed element in ultrathin layers that occurs during
-
Effects of the temperature of a protic ionic liquid on ion beam production by vacuum electrospray J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-14 Yukio Fujiwara
Ionic liquid ion sources generate ion beams from ionic liquids by vacuum electrospray. Electrospray characteristics generally depend on the physical properties of the liquids used. A key factor affecting physical properties is temperature. In this study, ion beam production was investigated using a protic ionic liquid, propylammonium nitrate (PAN), at temperatures ranging from 22 to 60 °C. An ion beam
-
Proposal that interpretation of field emission current–voltage characteristics should be treated as a specialized form of electrical engineering J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-07 Richard G. Forbes
This article proposes that we should think differently about predicting and interpreting measured field electron emission (FE) current–voltage [Im(Vm)] characteristics. It is commonly assumed that Im(Vm) data interpretation is a problem in emission physics and related electrostatics. Many experimentalists then apply the Fowler–Nordheim plot methodology, developed in 1929. However, with modern emitting
-
Physical vapor deposition of Yb-doped Cs2AgSbBr6films J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-06 Yukun Liu, Minh N. Tran, Iver J. Cleveland, Eray S. Aydil
Ytterbium-doped halide double perovskites of the form Cs2AgBX6 (B = Bi, Sb, In and X = Cl, Br) are being explored as potential lead-free UV/blue to near-infrared (NIR) downconversion materials. Of the various B and X combinations, Cs2AgSbBr6 has only recently been synthesized and never yet deposited as a film using vapor deposition. Here, we report the deposition of undoped and Yb-doped Cs2AgSbBr6
-
Numerical simulations of heterojunction GaN nanopillar light emitting diodes J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-06 Zbigniew Lisik, Janusz Wozny, Ewa Raj, Jacek Podgorski
The paper deals with numerical modeling of electrothermal phenomena in 3D GaN core-shell light-emitting diode (LED) structures that were developed in the frame of GECCO project.1 The simulations investigate the influence of pillar dimensions on the LED work conditions. The inherent feature of such a design is the discrepancy between the internal contact footprint current density JFP and the current
-
High quality factor silicon nitride nanomechanical resonators fabricated by maskless femtosecond laser micromachining J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-03 Roghayeh Nikbakht, Xitong Xie, Arnaud Weck, Raphael St-Gelais
Freestanding silicon nitride (SiN) devices are central to the field of nanomechanical resonators and other technology applications such as transmission electron imaging and nanopore bioassays. Nanofabrication techniques used for fabricating these devices often lack flexibility. While photolithography requires printing of an expensive photomask for each new design iteration, electron beam lithography
-
Improvement of electrical characteristics of flexible AZO/Ag/Cu/AZO transparent conductive films by Cu deposition J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-02 Atsushi Nitta, Yuuki Uwatoko, Teppei Nagata, Yukio Yoshimura
Flexible electrodes are prepared by deposition on polyethylene naphthalate substrates, which melt at elevated temperatures, and are, therefore, generally unsuitable for deposition at high temperatures. However, only limited improvement in the conductivity can be achieved for Al-doped ZnO (AZO) films formed at low temperatures. Multilayer transparent conductive films (AZO/Ag/AZO), in which a conductive
-
Chemical and electrical modifications of few-layer graphene films via sub-10 keV electron beam irradiation J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-02 Karla Moyano, Carlos Reinoso, Steven Núñez, Beatriz Pérez, Cristian Santacruz, César Costa, Esteban Irribarra
Graphene has attracted special attention due to its mechanical and electrical properties. In this work, we describe the effects of sub-10 keV electron beam irradiation on the electrical conductivity of few-layer graphene films deposited on a glass substrate. The irradiation process was performed in vacuum at 10–6 Torr for 30 min per sample. The superficial chemical structure and optical properties
-
Novel technique to control the focal spot size using carbon nanotube based cold cathode electron beam (C-beam) architecture J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-02 Ketan Bhotkar, Yi Yin Yu, Jaydip Sawant, Ravindra Patil, Kyu Chang Park
This article discusses the development of a cold cathode electron beam (C-beam) based on vertically aligned carbon nanotubes (VACNTs) and the optimization of field emission (FE) from C-beam architecture design. The characteristics of the electron beam are typically required to match the applications of interest. To study the FE, five distinct multi-array emitter island designs, viz., 65 × 65, 75 × 75
-
Fabrication of high quality X-ray source by gated vertically aligned carbon nanotube field emitters J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-02 Yi Yin Yu, Kyu Chang Park
We fabricated a cold cathode-driven x-ray source with vertically aligned carbon nanotubes (VACNTs). Dose and spatial resolution characteristics are compared to commercially available portable x-ray sources, and our system outperformed its counterparts. At the same 1.0 mAs condition, our x-ray source represented a dose rate of 0.37 mGy/s, which is 7.8 and 2.4 times greater than that of the thermionic
-
Field emitter electrostatics: Efficient improved simulation technique for highly precise calculation of field enhancement factors J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-01 Fernando F. Dall’Agnol, Thiago A. de Assis, Richard G. Forbes
When solving the Laplace equation numerically via computer simulation, in order to determine the field values at the surface of a shape model that represents a field emitter, it is necessary to define a simulation box and, within this, a simulation domain. This domain must not be so small that the box boundaries have an undesirable influence on the predicted field values. A recent paper discussed the
-
In situelectron-beam-induced mechanical loading and fracture of suspended strained silicon nanowires J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-03-01 Lucas B. Spejo, José L. Arrieta-Concha, Marcos V. Puydinger dos Santos, Angélica D. Barros, José A. Diniz, Renato A. Minamisawa
The mechanical properties characterization of silicon nanowires is generally performed by tensile nanomechanical loading tests with in situ strain quantification. While the strain is characterized by electron beam (e-beam) microscopy techniques, the understanding of the sample-electron interaction is essential to guarantee artifact-free measurements. In this work, we investigated suspended strained
-
Evaluation of quality of thick photoresist film by acoustic resonant imaging technique J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-02-28 Hyelin Kim, Hironori Tohmyoh
In this paper, the effects of coating conditions on the thickness and quality, especially the hardness and density, of a photoresist film are reported. The photoresist film was deposited on a Si wafer by a spin coater under various conditions, including baking temperature, rotation speed, and the number of coats. The thickness of the film was measured by a surface profilometer. The sound velocity,
-
Characterization of pulsed laser deposited La2O2S:Eu3+thin films and effect of coating with graphene oxide layers J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-02-27 Boitumelo C. Tladi, Hendrik C. Swart, Robin E. Kroon
Surface coatings have been widely used to improve phosphor characteristics for the purpose of increasing luminescence intensity and protecting against degradation. In this study, an uncoated La2O2S:Eu3+ thin film is compared to films coated by graphene oxide, as prepared or annealed in an inert or reducing atmosphere. The characteristic red emission of Eu3+ ions was observed for all samples and attributed
-
Temperature dependence of the infrared dielectric function and the direct bandgap of InSb from 80 to 725 K J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-02-13 Melissa Rivero Arias, Carlos A. Armenta, Carola Emminger, Cesy M. Zamarripa, Nuwanjula S. Samarasingha, Jaden R. Love, Sonam Yadav, Stefan Zollner
The temperature dependence of the complex pseudodielectric function of bulk InSb (100) near the direct band gap was measured with Fourier-transform infrared ellipsometry between 30 and 500 meV at temperatures from 80 to 725 K in ultrahigh vacuum. Using the Jellison–Sales method for transparent glasses, the thickness of the native oxide was found to be 25±5 Å, assuming a high-frequency dielectric constant
-
Roll-to-roll reactive ion etching of large-area nanostructure arrays in Si: Process development, characterization, and optimization J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-02-13 Ziam Ghaznavi, Nicholas Butcher, Dragan Djurdjanovic, S. V. Sreenivasan
Roll-to-roll (R2R) nanofabrication processes are recognized as key enabling-technologies for many next-generation applications in flexible electronics, displays, energy generation, storage, as well as healthcare. However, R2R processing techniques reported in the literature currently lack a scalable method of performing high-throughput nanoscale pattern transfer of geometry requiring a high degree
-
Fabrication of plasmonic Au nanostructures on dielectric supports using 10 keV electron beam lithography and tests for SERS biodetection J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-02-01 Haseeb Ahmed, Min Wu, Maria Stepanova
Plasmonic nanostructures have received an increasing attention due to their unique ability to mediate conversion of energy of light into different useful forms. This opens pathways for numerous applications from ultrasensitive surface-enhanced Raman scattering (SERS) characterization of materials to heterogeneous photocatalysis and green energy harvesting. However, plasmonic nanostructures should meet
-
Computational study of mechanical stresses in a cell interacting with micromechanical cues and microfabrication of such cues in Nervous system-on-Chips J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-02-01 Rahman Sabahi-Kaviani, Daan van Boekel, Regina Luttge
We have investigated the laser micromachining of microsieves with 3D micropore geometries. We hypothesize that mechanical cues resulting from the positioning and machining of ablated holes inside a pyramidal microcavity can influence the direction of neuronal outgrowth and instruct stem cell-derived neural networks in their differentiation processes. We narrowed the number of variations in device fabrication
-
Ultra high vacuum beam pipe of the Einstein Telescope project: Challenges and perspectives J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-02-01 Aniello Grado, Emanuele Tofani, Marco Angelucci, Roberto Cimino, Julien Gargiulo, Fedor Getman, Andrea Liedl, Luca Limatola, Vito Mennella, Antonio Pasqualetti, Fulvio Ricci, Daniel Sentenac, Luisa Spallino
The Einstein Telescope (ET) is a project aiming to realize a facility to host a gravitational wave (GW) detector of the third generation. The new instrument will change our vision of the Universe by observing millions of GW signals emitted during the coalescence of stellar and intermediate-mass black hole binary systems. It will permit to shed light on the first phase of the Universe formation and
-
Demonstration of a silicon gated field emitter array based low frequency Colpitts oscillator at 400 °C J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-27 Ranajoy Bhattacharya, Robert Hay, Mason Cannon, Nedeljko Karaulac, Girish Rughoobur, Akintunde Ibitayo Akinwande, Jim Browning
Silicon gated field emitter arrays have been used as a vacuum transistor to demonstrate a 152 kHz Colpitts oscillator. The transfer and output characteristics of the 1000 × 1000 silicon arrays were measured using a collector placed ≈ 1 mm away with a gate voltage up to 40 V and a collector voltage up to 200 V. The data were used to establish an LTspice transistor model based on a field emission tip
-
All field emission experiments are noisy, … are any meaningful? J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-27 Anthony Ayari, Pascal Vincent, Sorin Perisanu, Philippe Poncharal, Stephen T. Purcell
Representing field emission data on a Fowler–Nordheim plot is both very common and strongly not recommended. It leads to a spurious estimation of the emitter parameters despite a very good data fit. There is a lack of a reliable method of analysis and a proper estimation of the uncertainty in the extracted parameters. In this article, we show that the uncertainty in the estimation of the field enhancement
-
Electron transport mechanisms in amorphous zinc oxysulfide thin films J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-26 Masato Tsuchii, Zhen Chen, Yasushi Hirose, Tetsuya Hasegawa
Amorphous mixed-anion semiconductors (AMASs) such as amorphous zinc oxynitride and amorphous zinc oxysulfide (a-ZnOS) have attracted attention as rare-metal-free amorphous semiconductors that exhibit electron mobility comparable to or greater than the electron mobilities of typical amorphous oxide semiconductors (AOSs), including amorphous In–Ga–Zn–O (a-IGZO). A characteristic feature of AMASs is that
-
Fabrication of ultrahigh aspect ratio Si nanopillar and nanocone arrays J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-25 Aixi Pan, Medhat Samaan, Zheng Yan, Wenhan Hu, Bo Cui
High aspect ratio (HAR) structures have many promising applications such as biomedical detection, optical spectroscopy, and material characterization. Bottom-up self-assembly is a low-cost method to fabricate HAR structures, but it remains challenging to control the structure dimension, shape, density, and location. In this paper, an optimized top-down method using a combination of pseudo-Bosch etching
-
Implementation of high-performance and high-yield nanoscale hafnium zirconium oxide based ferroelectric tunnel junction devices on 300 mm wafer platform J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-13 Maximilian Liehr, Jubin Hazra, Karsten Beckmann, Vineetha Mukundan, Ioannis Alexandrou, Timothy Yeow, Joseph Race, Kandabara Tapily, Steven Consiglio, Santosh K. Kurinec, Alain C. Diebold, Nathaniel Cady
In this work, hafnium zirconium oxide (HZO)-based 100 × 100 nm2 ferroelectric tunnel junction (FTJ) devices were implemented on a 300 mm wafer platform, using a baseline 65 nm CMOS process technology. FTJs consisting of TiN/HZO/TiN were integrated in between metal 1 (M1) and via 1 (V1) layers. Cross-sectional transmission electron microscopy and energy dispersive x-ray spectroscopy analysis confirmed
-
Measurements of ion fluxes in extreme ultraviolet-induced plasma of new EUV-beam-line 2 nanolithography research machine and their applications for optical component tests J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-12 J. van Veldhoven, C.-C. Wu, A. J. Storm, M. van Putten, J. R. Meijlink, A. G. Ushakov
In modern extreme ultraviolet (EUV) lithography machines, sensitive optical components, such as multilayer mirrors and photomasks, may be affected by plasma interactions. The new 13.5 nm EUV-beam-line 2, designed to provide accelerated tests for next generation lithography, is used to investigate EUV-induced plasma phenomena. First systematic measurements of ion fluxes produced in EUV-induced hydrogen
-
Effect of water vapor pressure on positive and negative tone electron-beam patterning of poly(methyl methacrylate) J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-12 Deepak Kumar, Krishnaroop Chaudhuri, Joseph W. Brill, Jonathan T. Pham, J. Todd Hastings
Variable-pressure electron-beam lithography (VP-EBL) employs an ambient gas at subatmospheric pressures to reduce charging during electron-beam lithography. VP-EBL has been previously shown to eliminate pattern distortion and provide improved resolution when patterning poly(methyl methacrylate) (PMMA) on insulating substrates. However, it remains unknown how water vapor affects the contrast and clearing
-
A novel thermionic crystal electron emission effect similar to Kikuchi lines J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-11 Victor Katsap
Kikuchi lines, known since 1928 [S. Kikuchi, Jpn. J. Phys. 5, 83 (1928)], are generated by irradiating a crystal with high-energy e-beam in SEM or TEM and observing backscattered electrons diffraction on crystalline planes. The Kikuchi line effect gave rise to several useful tools in electron microscopy of crystalline and nanocrystalline materials [K. Saruwatari, et al., J. Mineral. Petrol. Sci. 103
-
Inelastic cotunneling in the Coulomb-blockade transport of donor-atom transistors J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-11 Pooja Yadav, Soumya Chakraborty, Daniel Moraru, Arup Samanta
We report finite-bias characteristics of electrical transport through phosphorus donors in silicon nanoscale transistors, in which we observe inelastic-cotunneling current in the Coulomb-blockade region. The cotunneling current appears like a resonant-tunneling current peak emerging from the excited state and sustain within the blockade regions. These cotunneling features are unique, since the inelastic-cotunneling
-
Observation of ground loop signals in GaN monolithically integrated devices J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-10 Xiao Ma, Hoi Wai Choi
The observation of ground loop signals in nonelectrically isolated GaN monolithic systems has prompted an investigation on its origins. The study is carried out with devices comprising monolithic light-emitting diodes (LED) and photodetectors (PD) that are either electrically isolated by completely etching through the GaN epitaxial layers, or nonelectrically isolated devices where the etch terminates
-
Systematic study of InP/InGaAsP heated plasma etching and roughness improvement for integrated optical devices J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-10 Kaustubh Vyas, Kashif M. Awan, Ksenia Dolgaleva
Indium Phosphide (InP) is one of the most widely commercialized III–V semiconductor materials for making efficient lasers operating in the O-band and C-band. It is also gaining significant attention as a material platform for passive integrated optical devices operating in the telecommunication wavelength range for optical communication networks and sensing. Fabrication of such devices requires a process
-
Bulk and surface chemical compositions and microstructure properties of CaF2:Y3+material J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-10 Mubarak Y. A. Yagoub, Hendrik C. Swart, Elizabeth Coetsee
The structural and chemical compositions of Y3+ ion-doped CaF2 were investigated as a possible lanthanide hosting material. A series of CaF2 nanomaterials doped with various concentrations of Y3+ ions under the chelating agent ethylene diamine tetraacetic acid (EDTA) were synthesized using the hydrothermal method. The x-ray diffraction results demonstrated that a mixture of cubic CaF2 and [CaY]F2 phases
-
Grain growth of Cu wires during Joule heat welding J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-09 Hironori Tohmyoh, Taiga Sakatoku
The Joule heat welding technique requires only current to join fine-scale materials together and is a useful way to create and repair electric circuits. During Joule heat welding, the temperature in the wire system increases, leading to grain growth and affecting the mechanical properties of the welded wires. This paper reports the grain growth of Cu wires during Joule heat welding and the mechanical
-
Surface property control for 193 nm immersion resist by addition of Si compound J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-06 Chen Tang, Atsushi Sekiguchi, Yosuke Ohta, Yoshihiko Hirai, Masaaki Yasuda
In ArF immersion lithography, the presence of immersion liquid between the resist surface and the lens causes problems, such as the leaching of the photoacid generator into the liquid and the presence of residual liquid on the resist surface, which can result in watermarks and other defects. One method to address such issues is adding an F-based compound with low dry-etch resistance to the resist.
-
On the charge density and potential near the surface of a field emitter J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2023-01-04 C. J. Edgcombe
The recently computed result for charge density penetrating into the barrier region of a capped (5,5) carbon nanotube in zero applied field is compared with the analysis of Kohn and Mattsson for a narrow region near the Fermi equipotential. The decrease of charge density with distance into the barrier is found to be faster for the computed result, possibly resulting from a limitation in the density
-
Processing of experimental current-voltage characteristics of single tip emitters taking into account the functional dependence of the emission area on the applied voltage J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-29 Eugeni O. Popov, Sergey V. Filippov, Anatoly G. Kolosko
The work addresses the question of the field-dependence of the notional emission area for a field-emitter tip using computer simulation and experimental data. Based on finite-element method calculations, it was determined that for single field emitters having a given shape, there is a stable and characteristic shift of the degree of voltage in the pre-exponential voltage exponent of the field emission
-
Spongy TiO2layers deposited by gig-lox sputtering processes: Contact angle measurements J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-29 C. Spampinato, S. Valastro, E. Smecca, V. Arena, G. Mannino, A. La Magna, C. Corsaro, F. Neri, E. Fazio, A. Alberti
The use of nanostructured materials is increasingly widespread thanks to their particular properties that can improve the performance of devices in various scientific applications. One of them is in the architecture of perovskite solar cells characterized by high photoconversion efficiency values that make them able to compete with silicon solar cells. In this framework, we deposited TiO2 sponges by
-
Exploring electromechanical utility of GaAs interdigitated transducers; using finite-element-method-based parametric analysis and experimental comparison J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-27 Brian D. Rummel, Leonid Miroshnik, Andrew B. Li, Grant D. Heilman, Ganesh Balakrishnan, Talid Sinno, Sang M. Han
Analysis of interdigitated transducers often relies on phenomenological models to approximate device electrical performance. While these approaches prove essential for signal processing applications, phenomenological models provide limited information on the device’s mechanical response and physical characteristics of the generated acoustic field. Finite element method modeling, in comparison, offers
-
Limitations of the independent control of ion flux and energy distribution function in high-density inductively coupled chlorine plasmas J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-22 Dmitry Levko, Rochan R. Upadhyay, Kenta Suzuki, Laxminarayan L. Raja
Using a self-consistent plasma model coupled with Maxwell's equations, the limitations of independent control of ion fluxes and their energy distribution functions extracted from the high-density inductively coupled chlorine plasma are studied. Two extreme cases of discharge power are considered: 100 W and 1 kW. We find that in the low-power case, plasma is mainly generated by electromagnetic waves
-
Reaching homogeneous field emission current from clusters of emitters with nonuniform heights J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-19 Sergey V. Filippov, Fernando F. Dall’Agnol, Eugeni O. Popov, Anatoly G. Kolosko, Thiago A. de Assis
In field electron emission from pointed structures in a cluster or in an array, electrostatic depolarization due to neighboring emitters diminishes the local field enhancement factor (FEF). This effect can limit the maximum macroscopic emission current from devices. If emitters in a cluster are regularly spaced and uniformly tall, the local FEF will always present significantly larger values at the
-
Evaluation of dry stored disposable sensor strip on rapid SARS-CoV-2 detection platform J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-19 Chao-Ching Chiang, Chan-Wen Chiu, Fan Ren, Cheng-Tse Tsai, Yu-Te Liao, Josephine F. Esquivel-Upshaw, Stephen J. Pearton
This study investigated a SARS-CoV-2 virus detection mechanism using dry-stored disposable strips. The accuracy of this sensing platform is as good as polymerase chain reaction (PCR) with a detection time of fewer than 30 s. SARS-CoV-2 antibodies were biofunctionalized on disposable strips similar to glucose detection strips to detect the presence and concentrations of SARS-CoV-2 in saliva samples
-
Close-packed silicon field emitter arrays with integrated anode fabricated by electron-beam lithography J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-19 S. Ghotbi, S. Mohammadi
Design, fabrication, and characterization of close-packed field emitter tips enclosed in an Si trench and stand-alone arrays are presented. The two types of field emitter arrays (FEAs) are fabricated using a combination of high-throughput electron-beam lithography, plasma etching, and anode bonding integration technology. The field emitter array inside the trench shows a higher turn-on voltage compared
-
Large-area 1D selective emitter for thermophotovoltaic applications in the mid-infrared J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-16 Minsu Oh, Kevin Grossklaus, Thomas E. Vandervelde
Two- or three-dimensionally patterned subwavelength structures, also known as metamaterials, have the advantage of arbitrarily engineerable optical properties. In thermophotovoltaic (TPV) applications, metamaterials are commonly used to optimize the emitter’s radiation spectrum for various source temperatures. The output power of a TPV device is proportional to the photon flux, which is proportional
-
Fabrication, and Direct Current and cryogenic analysis of SF6-treated AlGaN/GaN Schottky barrier diodes J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-15 Quentin Fornasiero, Nicolas Defrance, Sylvie Lepilliet, Vanessa Avramovic, Yvon Cordier, Eric Frayssinet, Marie Lesecq, Nadir Idir, Jean-Claude De Jaeger
Schottky contacts on fluorine implanted AlGaN/GaN heterostructures with the ideality factor close to unity and low on-voltage threshold are presented in this paper. An SF6 plasma anode pretreatment followed by a specific low-temperature annealing is also compared to a nonannealed sample. In addition, physical-model parameters are extracted by means of cryogenic temperature measurements to understand
-
Transmission conductance of a cylindrical tube with wall pumping J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-14 Hyeongrae Noh, Jeehoon Kim, Chongdo Park, Taekyun Ha
The concept of transmission conductance is required to describe phenomena occurring in a tube with wall pumping. This is because the gas flow is not constant along the length of the tube but decreases, which implies that the geometric conductance determined by dimensional parameters of the tube cannot be further used. In one of the previous studies, the transmission conductance of a cylindrical tube
-
Role of dose optimization in Ru atomic layer deposition for low resistivity films J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-13 Victor Wang, Michael Breeden, Nickolas Ashburn, Matthew Bergschneider, Jacob Spiegelman, Harsono Simka, Kyeongjae Cho, Andrew C. Kummel
Ruthenium (Ru) is an alternative to copper (Cu) and cobalt (Co) interconnect layers in sub 20 nm features due to its low resistivity in scaled wires and low diffusion into porous low-K dielectrics (SiCOH). Two goals for a successful Ru atomic layer deposition (ALD) process are to enable films with resistivity values as close as possible to that of bulk Ru and to enable selective deposition to achieve
-
High sensitivity CIP2A detection for oral cancer using a rapid transistor-based biosensor module J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-13 Minghan Xian, Jenna L. Stephany, Chan-Wen Chiu, Chao-Ching Chiang, Fan Ren, Cheng-Tse Tsai, Siang-Sin Shan, Yu-Te Liao, Josephine F. Esquivel-Upshaw, Stephen J. Pearton
Oral squamous cell carcinoma (OSCC) is one of the most common lip and oral cavity cancer types. It requires early detection via various medical technologies to improve the survival rate. While most detection techniques for OSCC require testing in a centralized lab to confirm cancer type, a point of care detection technique is preferred for on-site use and quick result readout. The modular biological
-
System for interpolating work function for a cold-field emitter J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-12 A. Bahm
Simulating electron emission current density J from a hemispherical cold-field emitter requires work function ϕ and field F over the surface of the emitter. Typically, the surface is approximated by a polygonal tile model with polygons whose normals may point in a variety of directions, representing arbitrary facet planes. As ϕ has only been experimentally determined on a few planes of tungsten, the
-
Long, stitch-free slot waveguide with s-bend tapered couplers for IR-sensing applications using electron beam lithography J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-06 Henock Demessie Yallew, Jana Jágerská, Martin M. Greve
We use the fixed beam moving stage (FBMS) electron beam lithography technique to pattern a 10 mm long slot waveguide with s-bend tapered double-tip couplers. The fabrication method solves two major limitations of the FBMS mode, namely, the requirement for fixed-width structures and the incidence of stage placement drift for patterns involving elements of different widths. This has been achieved by
-
Pneumatic controlled nanosieve for efficient capture and release of nanoparticles J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-12-01 Animesh Nanaware, Taylor Kranbuhl, Jesus Ching, Janice S. Chen, Xinye Chen, Qingsong Tu, Ke Du
A pneumatic controlled nanosieve device is demonstrated for the efficient capture and release of 15 nm quantum dots. This device consists of a 200 nm deep glass channel and a polydimethylsiloxane-based pneumatic pressure layer to enhance target capture. The fluid motion inside the nanosieve is studied by computational fluidic dynamics (CFD) and microfluidic experiments, enabling efficient target capture
-
Impact of the growth environment in inductively coupled plasma on the synthesis and morphologies of carbon nanohorns J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-11-21 Fanny Casteignau, Taki Aissou, Jérôme Menneveux, Jocelyn Veilleux, Richard Martel, Nadi Braidy
The fabrication of carbon nanohorns (CNHs) from a methane precursor with argon in an inductively coupled plasma was recently demonstrated with a high production rate of ∼20 g/h by Casteignau et al. [Plasma Chem. Plasma Process. 42, 465 (2022)]. The presence of a promotor gas such as hydrogen was found to be important for the growth of CNHs, but the mechanisms at play remain unclear. Here, we study
-
Solar-thermal cold-wall chemical vapor deposition reactor design and characterization for graphene synthesis J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-11-21 Abdalla Alghfeli, Mostafa Abuseada, Timothy S. Fisher
Manufacturing processes are often highly energy-intensive, even when the energy is primarily used for direct heating processes. The required energy tends to derive from local utilities, which currently employ a blend of sources ranging from fossil fuels to renewable wind and solar photovoltaics, among others, when the end manufacturing need is thermal energy. Direct solar-thermal capture provides a
-
Predicting the effects of plasma-induced damage on p–n junction leakage and its application in the characterization of defect distribution J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-11-18 Yoshihiro Sato, Satoshi Shibata, Kazuko Nishimura, Masayuki Yamasaki, Masashi Murakami, Keiichiro Urabe, Koji Eriguchi
Understanding the effects of defect creation during plasma exposure is crucial for designing future ultra-low leakage current devices. Created defects play a role as carrier conduction paths, leading to an increase in the p–n junction leakage current (ΔJpn). Herein, we propose a model focusing on the effects of created defects on ΔJpn in combination with technology computer-aided design simulations
-
High-temperature characteristics of GaN/InGaN multiple-quantum-well UV photodetectors fabricated on sapphire substrate: Analysis of photovoltaic and carrier transit time properties J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-11-18 Pradip Dalapati, Takashi Egawa, Makoto Miyoshi
To accomplish a high-temperature operation of GaN/InGaN multiple-quantum-well (MQW) UV photodetectors (UV-PDs), the investigation of device performances at high-temperature regimes is truly essential. Therefore, in the present work, GaN/InGaN MQW-based UV-PDs on sapphire are fabricated and their photovoltaic and carrier transit time characteristics are investigated at different temperatures. Our results
-
Trilayer process forT-gate and Γ-gate lithography using ternary developer and proximity effect correction superposition J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-11-18 Leonidas E. Ocola, James Bucchignano, Simon Dawes, Andrei Fustochenko
An alternative method to fabricate T- and Γ-gates used for special geometry compound semiconductor high electron mobility transistors is presented. This method utilizes an acrylate/methylstyrene triple resist stack, a single ternary developer consisting of an acetate/alcohol/water mixture, and a proximity effect correction (PEC) image superposition approach that treats the exposed regions in the different
-
Gamma radiation on gallium nitride high electron mobility transistors at ON, OFF, and prestressed conditions J. Vac. Sci. Technol. B (IF 1.4) Pub Date : 2022-11-18 Md Abu Jafar Rasel, Sergei Stepanoff, Aman Haque, Douglas E. Wolfe, Fan Ren, Stephen J. Pearton
Radiation damage in electronic devices is known to be influenced by physics, design, and materials system. Here, we report the effects of biasing state (such as ON and OFF) and pre-existing damage in GaN high electron mobility transistors exposed to γ radiation. Controlled and accelerated DC biasing was used to prestress the devices, which showed significant degradation in device characteristics compared