Open Access
1 October 2020 Perspectives and tradeoffs of absorber materials for high NA EUV lithography
Author Affiliations +
Abstract

Next-generation extreme ultraviolet (EUV) systems with numerical apertures of 0.55 have the potential to provide sub-8-nm half-pitch resolution. The increased importance of stochastic effects at smaller feature sizes places further demands on scanner and mask to provide high contrast images. We use rigorous mask diffraction and imaging simulation to understand the impact of the EUV mask absorber and to identify the most appropriate optical parameters for high NA EUV imaging. Simulations of various use cases and material options indicate two main types of solutions: high extinction materials, especially for lines spaces, and low refractive index materials that can provide phase shift mask solutions. EUV phase masks behave very different from phase shift masks for DUV. Carefully designed low refractive index materials and masks can open up a new path toward high contrast edge printing.

1.

Introduction

Diffraction of light limits single exposure projection lithography of periodic arrays of line-space (L/S) patterns to half-pitches (hps) of k1λ/NA. The technology factor k1 depends on the used illumination, mask, photoresist, and on processing conditions. The introduction of extreme ultraviolet (EUV) projection lithography with a wavelength λ=13.5  nm and a numerical aperture NA of 0.33 enables the printing of 20-nm hp patterns with a relaxed technology factors k10.5. Next-generation EUV systems with numerical apertures of 0.55 and more aggressive k1<0.35 can provide sub-8-nm hp resolution.1 Comprehensive optimization of all components of the lithographic system is required to push high NA EUV lithography to its physical limits. The increased importance of stochastic effects2,3 at smaller feature sizes places further demands on scanner and mask to provide high contrast images. Diffraction of light from three-dimensional (3D) features on the mask introduces several important mask 3D effects46 that impact the quality of the imaging, especially the image contrast. This paper employs rigorous mask diffraction and imaging simulation to understand the impact of the EUV mask absorber and to identify the most appropriate optical parameters for high NA EUV imaging.

1.1.

3D Mask Effects in EUV Lithography

Figure 1(a) shows a schematic sketch of an EUV mask. The multilayer reflects the incident light from the illumination toward the projection system. The mask pattern is defined by an absorber on top of the multilayer. To separate the reflected from the incident light, the mask is illuminated with obliquely incident light that is tilted in the yz plane. The tilt of the illumination introduces an asymmetry of the imaging system and a difference between the imaging behavior of x-parallel horizontal features (as shown in the figure) and y-parallel vertical features. The illumination direction of the features varies with the position in the exposure slit.

Fig. 1

Schematic of an EUV mask. (a) Geometrical representation by an absorber on the top of a reflective multilayer. (b) Double diffraction scheme, first diffraction of the incident light (green bold arrow) by the absorber pattern, backreflection from the multilayer, second diffraction from the absorber, see Ref. 7 for a more detailed discussion.

JM3_19_4_041001_f001.png

The described asymmetry of EUV imaging introduces orientation-dependent feature size, placement, and nontelecentricity (nTC), i.e., variation of feature position versus focus position.8 Variation of the incidence direction of the light on the mask versus the position in the illumination source causes image blur and deteriorates the contrast of the obtained images.9,10 Deformation of the phase of the propagating light by the thick absorber causes pitch-dependent shifts of the best focus position, asymmetric process windows, and other aberration such as effects with adverse impact on the image quality.1113

The diffraction scheme in Fig. 1(b) highlights other important characteristics of EUV imaging. The light is twice diffracted by the EUV mask absorber. The first diffraction occurs when the incident light from the illuminator hits the absorber. The diffracted light penetrates into the multilayer and is reflected at the layer interfaces. The upward propagating light hits the absorber again and is diffracted a second time. The light in each diffraction direction that enters the entrance pupil of the EUV projector is composed of several diffraction orders. The coherent superposition of these orders depends on the reflectivity characteristics of the multilayer. Finally, the light that is reflected from the top of the absorber (not shown in Fig. 1) contributes to the image formation as well and causes a sinusoidal variation of the image metrics versus the absorber thickness.6 In this paper, we demonstrate that the grazing incidence of the light on the absorber edges and the formation of modes inside trenches in the absorber impact the EUV imaging characteristics as well.

Many of the described effects are already observed in EUV systems with an NA of 0.33. Some of the mentioned 3D mask effects occur in DUV lithography as well, see for example, Ref. 13. The anamorphic optics of high NA EUV systems introduces several further aspects.14,15 Due to the 4×/8× demagnification in x and y, respectively, the mask size of vertical features is 2× smaller than that of horizontal features (for nominally identical wafer size). Smaller sizes involve also larger diffraction angles at mask level. Smaller sizes and larger diffraction angles increase the sensitivity of vertical features to mask 3D effects. Blocking of the diffracted light by the center obscuration in the high NA systems can potentially cause a further drop of the image contrast for certain pitches.16 In some of the investigated use cases in this paper, we have provoked such scenarios to investigate the mask absorber performance for extreme and critical imaging conditions.

1.2.

Paths Toward Mitigation

The most obvious solution to reduce 3D mask effects is to reduce the absorber thickness. State-of-the-art EUV masks employ about 60-nm-thick tantalum-based absorbers with typical extinction coefficients k0.031 to suppress the reflectivity in the nominally dark areas of a binary mask to values below 2%. Significantly thinner metal containing absorber materials with extinction coefficients up to 0.08 can provide sufficiently low reflectivity as well. Alternatively, absorber materials with appropriate combinations of refractive index n and extinction k can be used to devise attenuated phase shift masks (PSM) for EUV. Both approaches have been investigated for EUV systems with an NA of 0.33,1721 including a first experimental demonstration of improved imaging performance of high k absorbers.22

The effective thickness of EUV masks depends also on the multilayer. Presently used bilayer systems of molybdenum (Mo) and silicon (Si) reflect the incident EUV light at an effective plane 50  nm below the top surface of the multilayer. Replacement of Mo by ruthenium (Ru) with a slightly lower refractive index can help to reduce the distance between the effective reflection plane and the top of the multilayer to <40  nm.23 The modified weighting of (double diffracted) orders in aperiodic or quasiperiodic MoSi bilayer systems can mitigate the 3D mask effects for specific pitches.2426 However, at the cost of the imaging performance at other pitches. This and further aspects of the multilayer impact on high NA EUV imaging, including limitations of performance improvement by multilayers, are discussed in Ref. 27.

From a modeling perspective, various forms of (alternating) PSM that employ etched multilayers2831 or buried shifters32 offer the largest degree of freedom for the design of EUV masks with reduced 3D mask effects. However, the manufacturing, characterization, handling, and repair of such masks are very challenging.

The goal of this paper is to identify the optical properties of the most promising absorber materials for high NA EUV lithography from a modeling perspective and to understand important effects that determine this selection. The equally important discussion of technical requirements for the experimental realization and use of absorbers in actual manufacturing is beyond the scope of this study.

Section 2 presents a parametric study of the impact of the extinction coefficient k, of the refractive index n, and of the thickness of the absorber on the local contrast or normalized image log slope (NILS) for selected critical use cases. The results of this study exhibit important physical effects in high NA EUV imaging and their impact on the selection of absorber materials. Section 3 employs multiobjective optimizations of selected exemplary material systems to derive conclusions for more practical use scenarios. The analysis of the resulting data provides further insights into the selection of the most promising absorber materials for high NA EUV lithography. The paper ends with conclusions and an outlook to future work.

2.

Parametric Analysis of Selected Critical Use Cases

We start our investigations by a computation of the NILS versus the optical properties, i.e., the refractive index n and the extinction coefficient k of the absorber material for selected special use cases. Typical settings of a high NA EUV imaging system with a central obscuration of 20% are used: wavelength λ=13.5  nm, NA=0.55, chief ray angle of incidence CRAO=5.36  deg, demagnification 4× in x direction and 8× in y direction, unpolarized light. The parameters of a Mo/Si multilayer stack with intermixing and a ruthenium capping layer are taken from Ref. 33. As a baseline we used a 60-nm-thick TaBN absorber with a refractive index n=0.95 and an extinction coefficient k=0.031. All simulations are done with the Fraunhofer lithography simulator Dr. LiTHO. The mask and imaging modeling in Dr. LiTHO combines the Abbe method, i.e., the image computation for a discrete set of source points, with rigorous computation of light diffraction for representative illumination directions.34 For the purpose of this study about 100 source points and five representative illumination directions per pole were used. The good accuracy of the image models in Dr. LiTHO was verified by comparisons to other simulation tools, especially Hyperlith.

First, we consider the imaging of L/S with a leaf-shape dipole and a source filling of 20%. The position of the poles is optimized for a pitch of 16 nm. The next two subsections present simulation results for vertical L/S with a pitch of 32 nm, i.e., a critical situation that is known to provide very low NILS for the imaging of TaBN-based absorbers with the high NA system.7 Note that this is not the smallest pitch that can be resolved by the high NA EUV system. The shape of the leaves in the dipole illumination and the resulting positions of the diffraction orders are indicated in the pupil diagrams in the insets of Figs. 2 and 6. For the chosen combination of illumination and pitch, a significant part of light in the first diffraction order is blocked by the center obscuration of the system. This special configuration makes the described test case very sensitive to 3D mask effects. The partial blocking of the first diffraction order increases the impact of the second diffraction order and related amplitude and phase effects on the image. This enhances the sensitivity of the imaging results toward refractive index and extinction coefficient of the absorber material.

Fig. 2

Simulated NILS of 16-nm vertical L/S with a pitch of 32-nm versus extinction coefficient of the absorber k. Absorber thickness: 0.031×60  nm/k, leaf-shaped dipole illumination with 20% source filling, circle: 60-nm thick TaBN absorber, constant absorber refractive index n=0.95. The figure inset on the right exhibits a pupil diagram with the distribution of the zeroth, first, and second diffraction order for a single leaf and the specified pitch. The first diffraction order at the center of the pupil is partially blocked by the circular shaped obscuration. The position of the zero and second order is flipped between the left and right leaf of the dipole illumination.

JM3_19_4_041001_f002.png

2.1.

Impact of Absorber Extinction and Thickness on Imaging of Line-Space Patterns

Figure 2 shows the simulated NILS of 16-nm L/S with a pitch of 32 nm versus the extinction coefficient k. The NILS is extracted at the threshold-to-size (THRS) to print a 16-nm trench. The circle indicates the reference value for a 60-nm-thick TaBN absorber with k=0.031. Simultaneous variation of the absorber thickness according to 0.031×60  nm/k result in a nominally constant reflectivity of the multilayer stack with absorber. The reported data are extracted at the best focus position, which is the focus position that provides the highest NILS.

The data in Fig. 2 confirm the expected improved performance of high k absorber materials. Absorbers with increased extinction and appropriate thickness offer a better imaging performance. The nonmonotonous behavior of NILS versus extinction can be attributed to the thickness variation and interference effects in the absorber stack.6

To understand the reason of this observation, we simulate near fields and aerial images that are obtained with individual poles of the leaf dipole illuminator. Figures 3 and 4 show data for the TaBN reference absorber with a thickness of 60 nm. The near fields are computed with the rigorous electromagnetic field solver using the waveguide method in Dr. LiTHO for illumination with y-polarized incident plane waves that emerge from the centers of the left and right poles, respectively. The rigorous solver enables a separate presentation of the incident downward propagating fields, which are shown in the upper and lower rows of Fig. 3.

Fig. 3

Rigorously simulated near-field intensities of 4×16  nm vertical trenches with a pitch of 4×32  nm in the vicinity of a 60-nm-thick TaBN absorber on top of a MoSi multilayer. Left/right columns: illumination with a plane wave emerging from the center of the left/right pole. Upper/lower row: incident downward propagating and reflected upward propagating light. The blue rectangles indicate the geometrical contour of the absorber. The top of the multilayer is at z=0  nm.

JM3_19_4_041001_f003.png

Fig. 4

Simulated aerial image cross sections at best focus for illumination with (a) single poles and (b) total image as obtained by the incoherent superposition of the images that are obtained by the individual poles. All parameters as specified in Fig. 3 and at the beginning of Sec. 2.

JM3_19_4_041001_f004.png

The simulated downward propagating near fields in the upper row of Fig. 3 indicate the excitation of a mode inside the space between the absorber. The obliquely incident light hits one of the absorber sidewalls almost at grazing incidence and is reflected back into the space—an observation that was already reported by others.35 Adam and Neureuther36 interpreted a similar phenomenon at edges of DUV masks as Cherenkov radiation. The opposite absorber sidewall is located in the geometrical shadow of the incident light. The shape of the propagating light inside the space depends on the width of the space, on the optical properties of the absorber, and on the excitation by the incident light—a typical behavior that is observed for waveguides. Similar waveguide effects for EUV masks were already described in publications on EUV imaging for 4-nm feature size.37

The shape of the upward propagating light in the lower row of Fig. 3 looks different. The upward propagating light is not excited by a incident plane wave, but by the reflected light from the multilayer. The amplitude and phase of the reflected light depend on the properties of the multilayer. Typical MoSi-multilayers can be characterized by an effective reflection plane at a location about 50 nm below the top surface of the multilayer.25,27 Both the near-field simulations and simple geometrical arguments suggest that no light is excited from locations of the multilayer below the shadowed side of the absorber space. This explains the strong asymmetry of the upward propagating light of the left and right poles, respectively. Investigations of the phase of the reflected light from the multilayer and its impact on the image can provide further insights.

The shown near-field plots and additional simulations indicate that the intensity (and phase) of the light within the absorber opening depends strongly on material, geometry, and incidence direction. More detailed investigations on this phenomenon will be discussed in future publications.

The aerial image cross sections in Fig. 4 are computed for an illumination with all relevant incidence angles inside the poles, i.e., with partially coherent light. They demonstrate that the asymmetry of the upward propagating reflected light is transferred into the lithographic images. The peak intensity positions of the left and right pole cross sections are shifted by about 10 nm. Superposition of the partial images results in a significantly blurred image with a very small NILS. Such blur phenomenon was also described by others.9,10,12,13

Figure 5 shows how the near fields and cross sections change versus the extinction and thickness of the absorber. The intensity distributions and NILS plot in Fig. 2 are dominated by the effective mask height. Thinner absorbers with higher extinction k help to reduce the difference between the upward propagating near fields for illumination with the left/right pole, to lower the shift between the corresponding image cross sections and to mitigate the blur of the total image. High k materials can provide a better NILS—an observation that is consistent with previous work.24

Fig. 5

Simulated near-field intensities and aerial image cross sections for selected values of absorber extinction k and thickness. (a) Intensity of upward propagating reflected field for left/right pole and magnitude of intensity difference between the poles. (b) Aerial image cross sections of left/right pole. (c) Total image and NILS value. All parameters as described in Figs. 3 and 4.

JM3_19_4_041001_f005.png

2.2.

Impact of Absorber Refractive Index on Imaging of Line-Space Patterns

Next, we describe a less obvious phenomenon: the impact of the refractive index n of a binary mask on the image quality. In the classical Kirchhoff model, the refractive index of the absorber or the phase of completely dark mask features on a binary mask has no impact on the image at all. The following simulations show that this is fundamentally different for EUV masks.

Figure 6 shows the simulated NILS of 16-nm L/S with a pitch of 32 nm versus the refractive index. The reflectivity of the 60-nm-thick absorber with an extinction of k=0.031 is about 2% and represents a (very) weak attenuated PSM that should behave similar to a binary mask. Contrary to the expectations from the classical Kirchhoff model, the data in Fig. 6 suggest a significant impact of the refractive index on the NILS. This impact is even stronger than that of the extinction k. A low refractive index absorber enables NILS values above 3, whereas the best high k material provides only NILS values below 2.

Fig. 6

Simulated NILS of 16-nm vertical L/S with a pitch of 32 nm versus refractive index of the absorber n. Absorber thickness: 60 nm, extinction k=0.031, circle: 60-nm-thick TaBN absorber. The figure inset on the right exhibits a pupil diagram—see Fig. 2 for details.

JM3_19_4_041001_f006.png

The small circle in Fig. 6 indicates the reference value of a 60-nm-thick TaBN absorber with n=0.95 and k=0.031. That value is close to the minimum of the NILS versus n curve. In other words, the presently used TaBN absorbers represent the worst choice of refractive index.

The simulated near fields and cross sections for different refractive index values in Fig. 7 provide an explanation of the described phenomenon. In contrast to Fig. 5, where the difference of the near-field intensities of the poles is shown, here we have plotted the average near-field intensities. This highlights the combination of shift and blur effects that is important for the understanding of the following observations. For the reference refraction value of 0.95, the upward propagating reflected fields of the two poles are significantly shifted and blurred. This explains the image shift and blur of the cross sections—see discussion of Fig. 5 above. Absorbers with increased refractive index provide less or no guiding of the light inside the absorber spaces. The intensity distributions of the near fields are more blurred, but less shifted, resulting in increased NILS values compared to that of the reference material.

Fig. 7

Simulated near-field intensities and aerial image cross sections for selected values of absorber refractive index n. (a) Intensity of upward propagating reflected field for left/right pole and average intensity of both poles. (b) Aerial image cross sections of left/right pole. (c) Total image and NILS value. All other parameters as described in Figs. 3 and 4.

JM3_19_4_041001_f007.png

Absorbers with smaller refractive index values than the reference material provide an improved guiding of the light inside the space. The intensity distributions of the near fields become more distinct and cause sharper and less shifted cross sections of the individual pole images. The sharpening of the partial images results in a significantly increased NILS at refractive indices between 0.88 and 0.92.

2.3.

Impact of Absorber Refractive Index on Imaging of Contact Arrays

Next, we investigate the impact of the refractive index of an attenuated PSM on the imaging characteristics for an array of regular 12-nm square contacts with a pitch of 28 nm on a bright field mask. An optimized quasar illumination with a source filling of 20% is used. The nominal reflectivity of the reference absorber with a thickness of 39 nm, a refractive index 0.95, and extinction 0.02 is about 20% and represents a high transmission/reflection attenuated PSM. Such types of attenuated PSM for EUV can provide good imaging performance for contact arrays.21 All other settings are identical to those for the L/S simulations in the previous sections.

Figure 8 shows simulated NILS values for the described case. Again, a pronounced impact of the absorber refractive index on the local image contrast can be seen. Varying the refractive index from n=1.0 to n=0.89 increases the NILS by a factor of 4. The root causes of this phenomenon are explained by the simulated near fields and aerial images for different refractive index values in Fig. 9. To simplify the graphical representation and discussion, the averaged near-field intensities of the reflected light for illumination from the four pole centers are shown. The near fields are shown on mask scale with the stretching of the y axis due to the anamorphic projection system.

Fig. 8

Simulated NILS of an regular array of 12 nm square contacts with a pitch of 28 nm on a bright field attenuated PSM versus refractive index n of the absorber. 39-nm-thick absorber with extinction k=0.02, optimized quasar illumination with a source filling of 20%. The shown NILS data are extracted at the feature center along an x-parallel cut. All other parameters identical to the settings of the L/S simulations in the previous sections.

JM3_19_4_041001_f008.png

Fig. 9

Simulated near-field intensities and aerial image for selected values of absorber refractive index n. (a) Averaged near-ield intensities of the reflected light for illumination from the centers of the four poles of the quadrupole, (b) aerial images, and (c) x-parallel aerial image cross sections at the feature center and NILS value. All parameters as described in Fig. 8.

JM3_19_4_041001_f009.png

The computed near-field data indicate that the light is not only absorbed by the features in the center but also scattered back from the absorber edges into the absorber-free areas. The amount of the backscattered light increases with the refractive index disparity (Δn) between absorber and vacuum. The contrast of the averaged near-field intensities increases for low refractive index absorbers.

The computed aerial images and cross sections in Fig. 9 are not based on the averaged near-field intensities. Instead, they are computed from complex scattering data for all relevant illumination directions and incoherent superposition of the resulting images, as described by the Abbe imaging model. The improvement of contrast and NILS for low index materials is clearly seen.

2.4.

Summary of Observations and Learnings

The results of the simulations for the selected cases in the previous sections suggest several first learnings and hypothesis for further investigations:

  • High extinction (k) absorbers with reduced thickness provide an improved imaging performance for L/S patterns—an observation that is fully consistent with previous investigations as described in Sec. 1.

  • Absorber materials with a refractive index n0.9 can provide improved local image contrast for L/S patterns. This effect can be attributed to grazing incidence reflection of the incident light from absorber edges and to the formation of distinct modes in absorber-free areas of the mask.

  • Absorber materials with a refractive index n1.0 can reduce the shift of L/S images that are obtained for different illumination directions and reduce the blur of the resulting superposed image.

  • A small refractive index helps to guide light around small nominally dark areas on a bright field mask. This effect is fundamentally different from destructive interference effects at the absorber edges, which are exploited in attenuated PSM for DUV.

3.

Towards Real World Applications

The simulations in the previous section are restricted to the simulation of the NILS for very special use cases and to an independent variation of the refractive index n and of the extinction coefficient k of the absorber material. In reality, these values are not independent from each other and limited by the available materials. Figure 10 shows typical refractive index and extinction values of materials at a wavelength of 13.5 nm.

Fig. 10

Material options for EUV absorbers. Materials from the CXRO database38 (small blue dots) versus refractive index n and extinction coefficient k (nk-space). TaBN, high k alloy (dashed line), and attPSM alloy (solid line) represent combinations of n and k values that can be obtained by alloying two different materials.

JM3_19_4_041001_f010.png

Mask fabrication, metrology, repair, and other technology-driven requirements39 provide further limitations on the accessible range of n and k. To account for these requirements, we limit the material space to three types of materials—see Fig. 10. This limitation of the search space enables a faster convergence of the involved optimization algorithms and provides a more straightforward interpretation of the results. The application of the described optimization methods to alternative material options and representations of the search space is straightforward.

The large circle in Fig. 10 represents a state-of-the-art tantalum-based absorber material with a refractive index n=0.95 and extinction k=0.031 (identical with the reference material in Sec. 2). A single absorber layer is assumed to enable a more straightforward comparison with other materials. The high k alloy (dashed line) and attPSM alloy (solid line) provide combinations of n and k values that can be obtained by alloying two different materials. Our simulations assume that the refractive index depends linearly on the volumetric composition of the materials in the alloy.

The learnings of the previous section are solely driven by consideration of computed NILS values. A well-performing absorber has to fulfill several other performance criteria as well. To make efficient use of EUV photons, the loss of light at the mask should be as small as possible. This requirement is equivalent with large values of the THRS. To provide a good overlay performance, the variation of the feature position versus defocus or nTC should be minimized.

3.1.

Investigated Use Cases and Optimization Strategy

A good absorber has to provide good lithographic performance for at least a large group of use cases. To investigate and compare the lithographic performance of the material option from Fig. 10, we define several one- (1D) and two-dimensional (2D) use cases. These use cases, variable parameters, and the used optimization strategy are explained below.

Figure 11 shows the target sizes of spaces in a 1D L/S pattern versus the hp. The best focus position is determined by the focus where the L/S pattern with the largest hp exhibits the highest NILS. A variable bias is applied to the smallest hp space. The widths of all other features are adapted to print at the THRS of the smallest hp feature. A leaf-shaped dipole with a minimum source filling of 20% and a variable intensity center pole, as shown in the inset of Fig. 11, is used. Both vertical and horizontal lines with adapted rotation of the dipoles are considered. Simulations are done at the center and at the edge of the exposure slit. To reduce the computation time of the rigorous mask diffraction and image simulations for several thousands mask and source parameters, the simulations are limited to pitches of 16, 24, 32, and 60 nm. The data points in the figures of this section report the smallest THRS, the smallest NILS, and the largest nTC that is obtained for certain mask and source parameters. The goal of the optimization is to make the smallest THRS and NILS values (over all pitches) as large as possible and the largest nTC as small as possible. See Ref. 7 for a more detailed description of the imaging settings and for a comprehensive discussion of the imaging effects for such exposure scenario.

Fig. 11

Definition of 1D use case: target size of space in an L/S pattern versus hp. The figure inset exhibits the used leaf-shaped dipole with variable size of the leafs (identical for all leafs) and variable intensity of the inner leaf.

JM3_19_4_041001_f011.png

The 2D use cases with different arrays of square and long contacts on bright and dark field masks are presented in Fig. 12. Besides from the given rectangular features and pitches of the mask and the shown source shapes, all imaging settings are identical to the 1D use case. The THRS and best focus position are obtained for x-parallel cuts of the feature with a variable bias along x. The feature size in y direction is adapted to print on target. The applied source shapes with variable intensity center poles and minimum source filling of 20% are shown in the bottom column of the figure.

Fig. 12

Definition of 2D use cases. From left to right: regular squares (SQU), x- and y-parallel hexagonal arrays of square contacts (HEX X, HEX Y), x- and y-parallel long rectangular vias (VIAS X, VIAS Y). From top to bottom: bright field images, dark field images, source shapes, and wafer scale target sizes and pitches.

JM3_19_4_041001_f012.png

A multiobjective genetic algorithm40 is used to identify the most appropriate absorber parameters for the investigated use cases. The optimization variables include the composition of the alloys, an absorber thickness between 20 and 60 nm, a variable size bias, and illumination shapes as discussed above. The vector merit function consists of THRS (as defined above, to be maximized), NILS (smallest value over all pitches and orientations, to be maximized), and nTC (largest value over all pitches and orientations, magnitude to be minimized). The used multiobjective optimization algorithm does not require a weighting of the individual components of the merit function. The result of a multiobjective optimization is not a single solution, but a group of solutions that provide an appropriate tradeoff between the components of the merit vector. The achieved performance and parameters of these Pareto optimal solutions are discussed in the next sections.

3.2.

Lines-Spaces Pattern Example

Figure 13 shows simulated Pareto data of vertical L/S at the slit edge for different absorber options. The data in the plots show the achievable NILS versus THRS. Each data point (colored circle) represents a combination of mask and illumination parameters to achieve the predicted NILS/THRS performance. The color of the data points expresses the nTC ranging from magnitudes below 10 mrad (green), via medium nTC (yellow) to magnitudes of nTC around 30 mrad or larger (red).

Fig. 13

Plot of simulated Pareto data of the L/S use case with vertical spaces at the slit edge: NILS versus THRS for TaBN, high k alloy, and attenuated PSM absorber. nTC values (in mrad) are indicated by the color.

JM3_19_4_041001_f013.png

The data in Fig. 13 indicate a tradeoff among NILS, THRS, and nTC for all mask material options. Although not directly visible from the plotted data, there are various physical reasons for such tradeoff. For example, thicker absorbers tend to exhibit higher contrast (NILS) but absorb more light and reduce the THRS. Thin absorbers with larger THRS tend to exhibit smaller values of nTC. Figure 13 shows that both the high k alloy and the attPSM alloy can provide much better tradeoffs than the TaBN absorber, which suffers from high nTC and cannot achieve NILS values better than 2.2.

Alternative plots of the Pareto data in Fig. 14 provide further insights. The points in these scatter plots include only data with nTC25  mrad and THRS0.15. The used material options are differentiated by colors and symbols. The plot on the upper left of Fig. 14 provides a similar message as Fig. 13: Both the high k alloy and the attPSM alloy offer a significantly better NILS/THRS tradeoff than TaBN. There is only a small difference between the achievable performance of the alloys.

Fig. 14

Alternative plots of simulated Pareto data of the L/S use case with vertical spaces at the slit edge. Only data points with nTC25  mrad and THRS0.15 are included.

JM3_19_4_041001_f014.png

The NILS versus thickness plot of the same data in the upper right of Fig. 14 suggests that the best NILS performance is obtained for certain thickness values with a period of about 7 nm, i.e., λ/2. This observation is consistent with the NILS values versus extinction with adapted absorber thickness in Fig. 2 and can be attributed to interference effects in the absorber stack. Both the high k alloy and the attPSM alloy offer solutions with NILS values above 2.0 and absorber thickness values in the range between 20 and 40 nm. About 41- and 48-nm-thick high k absorber alloys offer NILS values above 2.4. The best NILS values of the attPSM alloy are about 2.3. Conversely, the TaBN absorber has to be at least 50 nm thick and achieves NILS values close to 2.0 only.

The NILS versus k plot in the lower left of Fig. 14 confirms that the best NILS values are obtained for alloys with extinction coefficients above 0.06. This requirement is fulfilled by all high k alloys and by attPSM alloys that mainly consist of the component with the larger value of k—see Fig. 10. The largest NILS values are obtained for the high k alloy with the maximum achievable k0.08. All TaBN absorbers have the same extinction k=0.031. The corresponding data in the figure differ only in the mask thickness, bias, and illumination setting and the resulting NILS value.

The NILS versus reflectivity plot in the lower right of Fig. 14 highlights that the best lithographic performance for vertical L/S can be only achieved for binary masks with absorber reflectivity below 1%. Additional analysis of the simulated data shows that potential attPSM solutions with larger reflectivity and thickness fail due to insufficient contrast or unacceptable nTC.

3.3.

Contact Array Example

Figures 16 and 15 show plots of typical Pareto data for hexagonal arrays of square contacts on bright- and darkfield masks, respectively. The NILS versus THRS plots in the upper left of these figures demonstrate the advantage of attenuated PSM for these types of patterns. High k alloys perform only slightly better than TaBN absorbers. The NILS versus refraction plots in the upper right of the figures confirm the advantages of absorber materials with low refractive index.

Fig. 15

Alternative plots of simulated Pareto data of x-parallel hexagonal arrays of contact holes (see HEX X in Fig. 12) on a dark field mask. Only data points with THRS0.15 are included.

JM3_19_4_041001_f015.png

Fig. 16

Alternative plots of simulated Pareto data of x-parallel hexagonal arrays of contact holes (see HEX X in Fig. 12) on a bright field mask. Only data points with THRS0.15 are included.

JM3_19_4_041001_f016.png

The reflectivity and phase values in the lower columns of Figs. 16 and 15 are computed from the n, k, and thickness of the absorber. Only attPSM alloys can provide well-performing solutions with reflectivity above 4%. The best NILS data are achieved with absorbers with high reflectivity values up to 30%. Such high reflectivity absorbers are prone to sidelobe printing. Further investigations are required to investigate the risk of sidelobe printing for larger pitches.

The phase values of the solutions with the largest NILS values are different from 180 deg. The optimum phase values of dark field attenuated PSM in Fig. 15 are in the range between 200 deg and 230 deg. This observation was already made in previous studies21 and confirmed by studies of others.41 The data of Fig. 16 indicate a wider range of appropriate phase shift (and thickness) values of bright field attenuated PSM compared to that of dark field masks. Additional simulations confirm that bright field attenuated PSM are less sensitive to variations of the absorber thickness than their dark field counterpart. Bright field attenuated PSM with a phase around 150 deg tend to exhibit higher THRS and lower losses, whereas phase values around 200 deg offer a higher NILS.

This special characteristic of PSM can be attributed to double diffraction effects and to total reflection of light at the absorber edges. Further investigations are required to investigate the dependencies of the optimum phase shift from the mask geometry, from absorber/multilayer parameters, and from the illumination.

The simulated Pareto data of all other 2D use cases suggest similar observations. Notably, the nTC for all investigated 2D use cases is below the critical level of 10 mrad.

4.

Conclusions and Outlook

Pushing the anamorphic NA=0.55 EUV projection optics to k1 values below 0.4 and to its ultimate resolution limit will require an alternative mask absorber stack. Simulations of various use cases and material options indicate two main types of solutions: high k materials (k0.05), especially for vertical lines spaces and for vertically oriented long vias and low refractive index materials (n0.9) to provide phase shift mask solutions for the other 2D use cases. It is important to find materials with the indicated range of optical properties. From a modeling perspective, the specific numbers of n and k are less important, but a thickness optimization of the absorber stack is required to obtain the best performance.

These conclusions were obtained by the application of rigorous, fully physical imaging simulations in combination with multiobjective optimization techniques in the Fraunhofer lithography simulator Dr. LiTHO. The large dataset of simulation results for the high NA anamorphic EUV system and various material options provides several very valuable additional insights. In general, the vertically oriented features with a reduction ratio of 41 are more critical with respect to 3D mask effects than horizontally oriented features with a demagnification of 81.

Future investigations should put more emphasis on the refractive index of the absorber. Due to grazing incidence effects and due to mode formation in small open mask areas EUV phase masks behave very different from PSM for DUV. The optimum phase of PSM solutions as determined by classical thin film algorithm is different from 180 deg. Carefully designed low n materials and masks can open up a new path toward high contrast edge printing. Further studies are required to investigate impact of feature size, oblique sidewalls, and surface roughness on lithography performance including MEEF and mask design.

Acknowledgments

A part of this work in the TAPES3 project has received funding from the Electronic Component Systems for European Leadership Undertaking under grant agreement number 783247. This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation programme and Netherlands, France, Belgium, Germany, Czech Republic, Austria, Hungary, Israel. The authors would like to thank Eelco van Setten and Gerado Bottiglieri (ASML) for helpful discussions and Launora Bilalaj (Fraunhofer IISB) for help in the evaluation of the Pareto data.

References

1. 

J. van Schoot et al., “High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond,” J. Micro/Nanolithogr. MEMS MOEMS, 16 041010 (2017). https://doi.org/10.1117/1.JMM.16.4.041010 Google Scholar

2. 

P. D. Bisschop, “Stochastic printing failures in EUV lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 10957 109570E (2018). https://doi.org/10.1117/12.2515082 Google Scholar

3. 

C. A. Mack, “Reducing roughness in extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 10450 104500P (2018). https://doi.org/10.1117/12.2281605 Google Scholar

4. 

S. Raghunathan et al., “Mask 3D effects and compensation for high NA EUV lithography,” Proc. SPIE, 8679 867918 (2013). https://doi.org/10.1117/12.2011643 Google Scholar

5. 

J. Finders et al., “Contrast optimization for 0.33 NA EUV lithography,” Proc. SPIE, 9776 97761P (2016). https://doi.org/10.1117/12.2220036 PSISDG 0277-786X Google Scholar

6. 

A. Erdmann et al., “Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography,” Adv. Opt. Technol., 6 187 –201 (2017). https://doi.org/10.1515/aot-2017-0019 1687-6393 Google Scholar

7. 

A. Erdmann et al., “3D mask effects in high NA EUV imaging,” Proc. SPIE, 10957 109570Z (2019). https://doi.org/10.1117/12.2515678 PSISDG 0277-786X Google Scholar

8. 

K. Otaki, “Asymmetric properties of the aerial image in extreme ultraviolet lithography,” Jpn. J. Appl. Phys., 39 6819 (2000). https://doi.org/10.1143/JJAP.39.6819 Google Scholar

9. 

C.-T. Shih et al., “Mitigation of image contrast loss due to mask-side non-telecentricity in an EUV scanner,” Proc. SPIE, 9422 94220Y (2015). https://doi.org/10.1117/12.2085092 PSISDG 0277-786X Google Scholar

10. 

L. de Winter et al., “Understanding the litho-impact of phase due to 3D mask effects when using off-axis illumination,” Proc. SPIE, 9661 96610A (2015). https://doi.org/10.1117/12.2195469 PSISDG 0277-786X Google Scholar

11. 

C. Krautschik et al., “Quantifying EUV imaging tolerances for the 70-, 50-, and 35-nm nodes through rigorous aerial image simulations,” Proc. SPIE, 4343 524 (2001). https://doi.org/10.1117/12.436684 PSISDG 0277-786X Google Scholar

12. 

M. Burkhardt and A. Raghunathan, “Best focus shift mechanism for thick masks,” Proc. SPIE, 9422 94220X (2015). https://doi.org/10.1117/12.2085948 PSISDG 0277-786X Google Scholar

13. 

A. Erdmann et al., “Mask-induced best-focus shifts in deep ultraviolet and extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 15 (2), 21205 (2016). https://doi.org/10.1117/1.JMM.15.2.021205 Google Scholar

14. 

J. T. Neumann et al., “Imaging performance of EUV lithography optics configuration for sub-9nm resolution,” Proc. SPIE, 9422 94221H (2015). https://doi.org/10.1117/12.2175658 PSISDG 0277-786X Google Scholar

15. 

E. van Setten et al., “High NA EUV lithography: next step in EUV imaging,” Proc. SPIE, 10957 1095709 (2019). https://doi.org/10.1117/12.2514952 PSISDG 0277-786X Google Scholar

16. 

E. van Setten et al., “Edge placement error control and Mask3D effects in high-NA anamorphic EUV lithography,” Proc. SPIE, 10450 104500W (2017). https://doi.org/10.1117/12.2280624 PSISDG 0277-786X Google Scholar

17. 

J. U. Lee, S. Hong and J. Ahn, “Very thin extreme ultraviolet mask absorber material for extremely fine pitch patterning,” Appl. Phys. Express, 6 (7), 076502 (2013). https://doi.org/10.7567/APEX.6.076502 Google Scholar

18. 

V. Philipsen et al., “Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers,” J. Micro/Nanolithogr. MEMS MOEMS, 16 041002 (2017). https://doi.org/10.1117/1.JMM.16.4.041002 Google Scholar

19. 

M. Burkhardt, “Investigation of alternate mask absorbers in EUV lithography,” Proc. SPIE, 10143 1014312 (2017). https://doi.org/10.1117/12.2258266 PSISDG 0277-786X Google Scholar

20. 

Y. Ikebe et al., “Investigation of alternative absorbers for extreme ultraviolet mask blanks,” J. Micro/Nanolithogr. MEMS MOEMS, 16 041006 (2017). https://doi.org/10.1117/1.JMM.16.4.041006 Google Scholar

21. 

A. Erdmann et al., “Attenuated phase shift mask for extreme ultraviolet: Can they mitigate three-dimensional mask effects?,” J. Micro/Nanolithogr. MEMS MOEMS, 18 011005 (2018). https://doi.org/10.1117/1.JMM.18.1.011005 Google Scholar

22. 

J. Finders et al., “Experimental investigation of a high-k reticle absorber system for EUV lithography,” Proc. SPIE, 10957 1095714 (2019). https://doi.org/10.1117/12.2515496 PSISDG 0277-786X Google Scholar

23. 

O. Wood et al., “Improved Ru/Si multilayer reflective coatings for advanced extreme-ultraviolet lithography photomasks,” Proc. SPIE, 9776 977619 (2016). https://doi.org/10.1117/12.2219215 PSISDG 0277-786X Google Scholar

24. 

V. Philipsen et al., “Imaging impact of multilayer tuning in EUV masks, experimental validation,” Proc. SPIE, 9235 92350J (2014). https://doi.org/10.1117/12.2066222 PSISDG 0277-786X Google Scholar

25. 

S. Sherwin, A. Neureuther and P. Naulleau, “Actinic EUV scatterometry for parametric mask quantification,” Proc. SPIE, 10583 105831G (2018). https://doi.org/10.1117/12.2299271 PSISDG 0277-786X Google Scholar

26. 

S. Sherwin et al., “Advanced multilayer mirror design to mitigate EUV shadowing,” Proc. SPIE, 10957 1095715 (2019). https://doi.org/10.1117/12.2515095 PSISDG 0277-786X Google Scholar

27. 

H. Mesilhy et al., “Pathfinding the perfect EUV mask: the role of the multilayer,” Proc. SPIE, 11323 1132316 (2020). https://doi.org/10.1117/12.2551870 PSISDG 0277-786X Google Scholar

28. 

M. Sugawara et al., “Alternating phase shift mask in extreme ultra violet lithography,” Jpn. J. Appl. Phys., 42 3776 –3783 (2003). https://doi.org/10.1143/JJAP.42.3776 Google Scholar

29. 

S.-I. Han et al., “Development of phase shift masks for extreme ultraviolet lithography and optical evaluation of phase shift materials,” Proc. SPIE, 5374 261 (2004). https://doi.org/10.1117/12.535503 PSISDG 0277-786X Google Scholar

30. 

P. Naulleau et al., “Ultrahigh efficiency EUV contact-hole printing with chromeless phase shift mask,” Proc. SPIE, 9984 99840P (2016). https://doi.org/10.1117/12.2243321 PSISDG 0277-786X Google Scholar

31. 

S. Sherwin, A. Neureuther and P. Naulleau, “Modeling high-efficiency extreme ultraviolet etched multilayer phase-shift masks,” J. Micro/Nanolithogr. MEMS MOEMS, 16 041012 (2017). https://doi.org/10.1117/1.JMM.16.4.041012 Google Scholar

32. 

A. Erdmann et al., “Modeling studies on alternative EUV mask concepts for higher NA,” Proc. SPIE, 8679 86791Q (2013). https://doi.org/10.1117/12.2011432 PSISDG 0277-786X Google Scholar

33. 

V. Philipsen et al., “Actinic characterization and modeling of the EUV mask stack,” Proc. SPIE, 8886 88860B (2013). https://doi.org/10.1117/12.2030663 Google Scholar

34. 

P. Evanschitzky and A. Erdmann, “Advanced EUV mask and imaging modeling,” J. Micro/Nanolithogr. MEMS MOEMS, 16 041005 (2017). https://doi.org/10.1117/1.JMM.16.4.041005 Google Scholar

35. 

L. S. Melvin et al., “Impact of EUV mask absorber sidewall angle on patterning robustness,” Proc. SPIE, 10583 1058314 (2018). https://doi.org/10.1117/12.2296865 PSISDG 0277-786X Google Scholar

36. 

K. Adam and A. R. Neureuther, “Simplified models for edge transitions in rigorous mask modeling,” Proc. SPIE, 4346 331 (2001). https://doi.org/10.1117/12.435733 PSISDG 0277-786X Google Scholar

37. 

M. Yeung, E. Barouch and H.-K. Oh, “Waveguide effect in high-NA EUV lithography: the key to extending EUV lithography to the 4-nm node,” Jpn. J. Appl. Phys., 54 06FN01 (2015). https://doi.org/10.7567/JJAP.54.06FN01 Google Scholar

38. 

B. L. Henke, E. M. Gullikson and J. C. Davis, “X-ray interactions: photoabsorption, scattering, transmission, and reflection at E=50-30000 eV, Z=1-92,” At. Data Nucl. Data Tables, 54 181 –342 (1993). https://doi.org/10.1006/adnd.1993.1013 ADNDAT 0092-640X Google Scholar

39. 

V. Philipsen et al., “Novel EUV mask absorber evaluation in support of next-generation EUV imaging,” Proc. SPIE, 10810 108100C (2018). https://doi.org/10.1117/12.2501799 PSISDG 0277-786X Google Scholar

40. 

T. Fühner, “Artificial evolution for the optimization of lithographic process conditions,” (2013). Google Scholar

41. 

C. van Lare, F. Timmermans and J. Finders, “Mask absorber optimization: the next phase,” J. Micro/Nanolithogr. MEMS MOEMS, 19 (2), 024401 (2020). https://doi.org/10.1117/1.JMM.19.2.024401 Google Scholar

Biography

Andreas Erdmann is the head of the Fraunhofer IISB Computational Lithography and Optics Group and teaches as “Privatdozent” at the University of Erlangen. He has more than 20 years of experience in optical and EUV lithography. He chaired SPIE conferences on optical microlithography and optical design and is an organizer of the International Fraunhofer Lithography Simulation Workshop. He contributed to the development of several advanced lithography simulators including the development and research lithography simulator Dr. LiTHO. He is a fellow of SPIE.

Hazem Mesilhy is a PhD student at Fraunhofer IISB in the Computational Lithography and Optics Group. He received his master’s degree in advanced optical technologies from Friedrich-Alexander University Erlangen-Nürnberg. He received his bachelor’s degree from Cairo University—Faculty of Engineering, Electronics and Electrical Communication Department. His research domain involves the simulation of the lithographic process and the optimization of the process parameters using multiobjective optimization techniques.

Peter Evanschitzky received his PhD in the field of optical surface measurement techniques from the Technical University of Munich, Germany. Since 2002, he has been a scientist at the Fraunhofer Institute for Integrated Systems and Device Technology IISB, Germany. His field of research includes the modeling and simulation of optical and EUV lithography and the application of artificial intelligence methods in lithography. He is coauthor of the lithography and optics simulator Dr. LiTHO.

Vicky Philipsen received her PhD in solid-state physics from the University of Leuven, Belgium, in 2001. At imec she joined the Advanced Patterning Department, where her research domain involves the study of mask 3D imaging effects in lithography (193nm, EUV, and high NA EUV) both by simulations and experiments. She is leading the project on novel EUV mask absorbers at imec, including the technical task responsibility in European projects.

Frank Timmermans studied physics and optics at the University of Twente. He received his PhD in physics and advanced microscopy from the University of Twente in 2017. Currently, he works at ASML as reticle architect for EUV imaging reticles. In this role, he is involved with development projects to new mask technologies and the impact of the reticle on the EUV roadmap.

Markus Bauer has studied physics and received his PhD in single molecule spectroscopy from the University of Bayreuth, Germany, in 2004. Afterward, he joined Carl Zeiss SMT and worked on metrology and as a system engineer for various products for IC manufacturing such as inspection and lithography optics, excimer laser components and metrology modules, and optics for laser annealing and direct write. Currently, he is working on EUV mask repair.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Andreas Erdmann, Hazem S. Mesilhy, Peter Evanschitzky, Vicky Philipsen, Frank J. Timmermans, and Markus Bauer "Perspectives and tradeoffs of absorber materials for high NA EUV lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 19(4), 041001 (1 October 2020). https://doi.org/10.1117/1.JMM.19.4.041001
Received: 22 May 2020; Accepted: 2 September 2020; Published: 1 October 2020
Lens.org Logo
CITATIONS
Cited by 20 scholarly publications.
Advertisement
Advertisement
Back to Top